-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 17 Aug 1995 | -- +-----------------------------+ -- Components: -- 17.08.95 cla_5 library ieee; package cla_5_cmpt is use ieee.std_logic_1164.all; component cla_5 port ( c_in : in std_logic; p : in std_logic_vector(4 downto 0); g : in std_logic_vector(4 downto 0); c : out std_logic_vector(4 downto 0); p_out : out std_logic; g_out : out std_logic ); end component; end cla_5_cmpt;