-- +-----------------------------+ -- | Copyright 1996 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 28 Jul 1996 | -- +-----------------------------+ -- Components: -- 28.07.96 cla_25 library ieee; package cla_25_cmpt is use ieee.std_logic_1164.all; component cla_25 port ( c_in : in std_logic; p : in std_logic_vector(24 downto 0); g : in std_logic_vector(24 downto 0); c : out std_logic_vector(24 downto 0); p_out : out std_logic; g_out : out std_logic ); end component; end cla_25_cmpt;