-- +-----------------------------+ -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 28 Jul 1996 | -- +-----------------------------+ -- Configurations: -- 28.07.96 cla_25_low configuration cla_25_low of cla_25 is for low_level end for; end cla_25_low;