-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: combinatorial | -- | designer : Tim Pagden | -- | opened: 6 Jun 1995 | -- +-----------------------------+ -- Function: 2 i/p AND gate library ieee; use ieee.std_logic_1164.all; entity and_2 is port ( a : in std_logic_vector(1 downto 0); y : out std_logic ); end and_2;