-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: combinatorial | -- | designer : Tim Pagden | -- | opened: 6 Jun 1995 | -- +-----------------------------+ -- Components: -- 06.06.95 and_2 library ieee; package and_2_cmpt is use ieee.std_logic_1164.all; component and_2 port ( a : in std_logic_vector(1 downto 0); y : out std_logic ); end component; end and_2_cmpt;