-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 1 May 1995 | -- +-----------------------------+ -- Function: 25-bit adder library ieee; use ieee.std_logic_1164.all; entity adder_25 is port ( a : in std_logic_vector(24 downto 0); b : in std_logic_vector(24 downto 0); c_in : in std_logic; y : out std_logic_vector(24 downto 0); c_out : out std_logic ); end adder_25;