-- +-----------------------------+ -- | Copyright 1995 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 1 May 1995 | -- +-----------------------------+ -- Components: -- 01.05.95 adder_25 library ieee; package adder_25_cmpt is use ieee.std_logic_1164.all; component adder_25 port ( a : in std_logic_vector(24 downto 0); b : in std_logic_vector(24 downto 0); c_in : in std_logic; y : out std_logic_vector(24 downto 0); c_out : out std_logic ); end component; end adder_25_cmpt;