-- +-----------------------------+ -- | Copyright 1996 DOULOS | -- | Library: arithmetic | -- | designer : Tim Pagden | -- | opened: 28 Jul 1996 | -- +-----------------------------+ -- Configurations: -- 28.07.96 adder_25_look_ahead library arithmetic; configuration adder_25_look_ahead of adder_25 is for look_ahead end for; end adder_25_look_ahead;