(edif Synopsys_edif (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 1997 9 30 13 3 27) (program "Synopsys Design Compiler" (Version "1997.08")) (dataOrigin "company") (author "designer") ) ) (external msu_scmos_0_8 (edifLevel 0) (technology (numberDefinition)) (cell nanf401 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port D1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell nanf201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell nanf301 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell invf101 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port O (direction OUTPUT))) ) ) (cell nanf411 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port D1 (direction INPUT)) (port O1 (direction OUTPUT)) (port O2 (direction OUTPUT)) ) ) ) (cell nanf311 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port O1 (direction OUTPUT)) (port O2 (direction OUTPUT)) ) ) ) (cell nanf211 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O1 (direction OUTPUT)) (port O2 (direction OUTPUT)) ) ) ) ) (library DESIGNS (edifLevel 0) (technology (numberDefinition)) (cell dec3to8 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port (rename sel_2_ "sel[2]") (direction INPUT)) (port (rename sel_1_ "sel[1]") (direction INPUT)) (port (rename sel_0_ "sel[0]") (direction INPUT)) (port en (direction INPUT)) (port (rename y_out_7_ "y_out[7]") (direction OUTPUT)) (port (rename y_out_6_ "y_out[6]") (direction OUTPUT)) (port (rename y_out_5_ "y_out[5]") (direction OUTPUT)) (port (rename y_out_4_ "y_out[4]") (direction OUTPUT)) (port (rename y_out_3_ "y_out[3]") (direction OUTPUT)) (port (rename y_out_2_ "y_out[2]") (direction OUTPUT)) (port (rename y_out_1_ "y_out[1]") (direction OUTPUT)) (port (rename y_out_0_ "y_out[0]") (direction OUTPUT)) ) (contents (instance U13 (viewRef Netlist_representation (cellRef nanf401 (libraryRef msu_scmos_0_8)) ) ) (instance U14 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U15 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U16 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U17 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U18 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U19 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U20 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U21 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U22 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U23 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U24 (viewRef Netlist_representation (cellRef nanf311 (libraryRef msu_scmos_0_8)) ) ) (instance U25 (viewRef Netlist_representation (cellRef nanf211 (libraryRef msu_scmos_0_8)) ) ) (instance U26 (viewRef Netlist_representation (cellRef nanf311 (libraryRef msu_scmos_0_8)) ) ) (instance U27 (viewRef Netlist_representation (cellRef nanf311 (libraryRef msu_scmos_0_8)) ) ) (instance U28 (viewRef Netlist_representation (cellRef nanf411 (libraryRef msu_scmos_0_8)) ) ) (instance U29 (viewRef Netlist_representation (cellRef nanf211 (libraryRef msu_scmos_0_8)) ) ) (instance U30 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U31 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U32 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U33 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U34 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U35 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U36 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (net (rename sel_0_ "sel[0]") (joined (portRef sel_0_) (portRef B1 (instanceRef U13)) (portRef A1 (instanceRef U22)) (portRef C1 (instanceRef U31)) (portRef A1 (instanceRef U33)) (portRef B1 (instanceRef U35)) ) ) (net (rename y_out_0_ "y_out[0]") (joined (portRef y_out_0_) (portRef O (instanceRef U20))) ) (net (rename y_out_4_ "y_out[4]") (joined (portRef y_out_4_) (portRef O (instanceRef U16))) ) (net (rename y_out_6_ "y_out[6]") (joined (portRef y_out_6_) (portRef O (instanceRef U14))) ) (net en (joined (portRef en) (portRef C1 (instanceRef U13)) (portRef C1 (instanceRef U24)) (portRef A1 (instanceRef U28)) ) ) (net (rename y_out_2_ "y_out[2]") (joined (portRef y_out_2_) (portRef O (instanceRef U18))) ) (net (rename sel_2_ "sel[2]") (joined (portRef sel_2_) (portRef A1 (instanceRef U13)) (portRef A1 (instanceRef U23)) (portRef C1 (instanceRef U34)) (portRef A1 (instanceRef U35)) (portRef A1 (instanceRef U36)) ) ) (net (rename y_out_3_ "y_out[3]") (joined (portRef y_out_3_) (portRef O (instanceRef U17))) ) (net (rename y_out_7_ "y_out[7]") (joined (portRef y_out_7_) (portRef O (instanceRef U13))) ) (net (rename y_out_5_ "y_out[5]") (joined (portRef y_out_5_) (portRef O (instanceRef U15))) ) (net (rename sel_1_ "sel[1]") (joined (portRef sel_1_) (portRef D1 (instanceRef U13)) (portRef A1 (instanceRef U21)) (portRef C1 (instanceRef U32)) (portRef B1 (instanceRef U33)) (portRef B1 (instanceRef U36)) ) ) (net (rename y_out_1_ "y_out[1]") (joined (portRef y_out_1_) (portRef O (instanceRef U19))) ) (net n29 (joined (portRef C1 (instanceRef U16)) (portRef C1 (instanceRef U15)) (portRef A1 (instanceRef U14)) (portRef O2 (instanceRef U26)) ) ) (net n30 (joined (portRef B1 (instanceRef U28)) (portRef C1 (instanceRef U27)) (portRef B1 (instanceRef U14)) (portRef O2 (instanceRef U25)) ) ) (net n31 (joined (portRef C1 (instanceRef U28)) (portRef B1 (instanceRef U27)) (portRef A1 (instanceRef U16)) (portRef A1 (instanceRef U15)) (portRef O (instanceRef U36)) ) ) (net n32 (joined (portRef A1 (instanceRef U25)) (portRef B1 (instanceRef U15)) (portRef O (instanceRef U34)) ) ) (net n33 (joined (portRef B1 (instanceRef U25)) (portRef B1 (instanceRef U16)) (portRef O (instanceRef U35)) ) ) (net n34 (joined (portRef A1 (instanceRef U18)) (portRef A1 (instanceRef U17)) (portRef O2 (instanceRef U27)) ) ) (net n35 (joined (portRef B1 (instanceRef U29)) (portRef B1 (instanceRef U26)) (portRef B1 (instanceRef U17)) (portRef O (instanceRef U32)) ) ) (net n36 (joined (portRef A1 (instanceRef U29)) (portRef A1 (instanceRef U26)) (portRef B1 (instanceRef U18)) (portRef O (instanceRef U33)) ) ) (net n37 (joined (portRef A1 (instanceRef U20)) (portRef A1 (instanceRef U19)) (portRef O2 (instanceRef U28)) ) ) (net n38 (joined (portRef B1 (instanceRef U24)) (portRef B1 (instanceRef U19)) (portRef O (instanceRef U30)) ) ) (net n39 (joined (portRef A1 (instanceRef U24)) (portRef B1 (instanceRef U20)) (portRef O (instanceRef U31)) ) ) (net n40 (joined (portRef B1 (instanceRef U34)) (portRef A1 (instanceRef U31)) (portRef A1 (instanceRef U30)) (portRef O (instanceRef U21)) ) ) (net n41 (joined (portRef A1 (instanceRef U34)) (portRef A1 (instanceRef U32)) (portRef C1 (instanceRef U30)) (portRef O (instanceRef U22)) ) ) (net n42 (joined (portRef B1 (instanceRef U32)) (portRef B1 (instanceRef U31)) (portRef B1 (instanceRef U30)) (portRef O (instanceRef U23)) ) ) (net n43 (joined (portRef A1 (instanceRef U27)) (portRef C1 (instanceRef U26)) (portRef O2 (instanceRef U24)) ) ) (net n44 (joined (portRef D1 (instanceRef U28)) (portRef O2 (instanceRef U29))) ) ) ) ) ) (design Synopsys_edif (cellRef dec3to8 (libraryRef DESIGNS))) )