(edif Synopsys_edif (edifVersion 2 0 0) (edifLevel 0) (keywordMap (keywordLevel 0)) (status (written (timeStamp 1998 1 12 11 17 14) (program "Synopsys Design Compiler" (Version "1997.08")) (dataOrigin "company") (author "designer") ) ) (external msu_scmos_0_8 (edifLevel 0) (technology (numberDefinition)) (cell nanf301 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell nanf201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell muxf201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B2 (direction INPUT)) (port SEL3 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell norf251 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell norf211 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O1 (direction OUTPUT)) (port O2 (direction OUTPUT)) ) ) ) (cell invf101 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port O (direction OUTPUT))) ) ) (cell aof2201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell aof3201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port E3 (direction INPUT)) (port F3 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell blf00201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell dfrf301 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port DATA1 (direction INPUT)) (port CLK2 (direction INPUT)) (port RST3 (direction INPUT)) (port Q (direction OUTPUT)) ) ) ) (cell aoif2201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell oaif2201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell blf00601 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell aof4201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C2 (direction INPUT)) (port D2 (direction INPUT)) (port E3 (direction INPUT)) (port F3 (direction INPUT)) (port G4 (direction INPUT)) (port H4 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell norf201 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell nanf211 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port O1 (direction OUTPUT)) (port O2 (direction OUTPUT)) ) ) ) (cell nanf401 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port D1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell nanf251 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell norf301 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell orf401 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B1 (direction INPUT)) (port C1 (direction INPUT)) (port D1 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell blf00101 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B2 (direction INPUT)) (port C2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) (cell blf00001 (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port A1 (direction INPUT)) (port B2 (direction INPUT)) (port C2 (direction INPUT)) (port O (direction OUTPUT)) ) ) ) ) (library DESIGNS (edifLevel 0) (technology (numberDefinition)) (cell arbiter (cellType GENERIC) (view Netlist_representation (viewType NETLIST) (interface (port clk (direction INPUT)) (port reset (direction INPUT)) (port (rename breq_7_ "breq[7]") (direction INPUT)) (port (rename breq_6_ "breq[6]") (direction INPUT)) (port (rename breq_5_ "breq[5]") (direction INPUT)) (port (rename breq_4_ "breq[4]") (direction INPUT)) (port (rename breq_3_ "breq[3]") (direction INPUT)) (port (rename breq_2_ "breq[2]") (direction INPUT)) (port (rename breq_1_ "breq[1]") (direction INPUT)) (port (rename breq_0_ "breq[0]") (direction INPUT)) (port (rename bgrant_7_ "bgrant[7]") (direction OUTPUT)) (port (rename bgrant_6_ "bgrant[6]") (direction OUTPUT)) (port (rename bgrant_5_ "bgrant[5]") (direction OUTPUT)) (port (rename bgrant_4_ "bgrant[4]") (direction OUTPUT)) (port (rename bgrant_3_ "bgrant[3]") (direction OUTPUT)) (port (rename bgrant_2_ "bgrant[2]") (direction OUTPUT)) (port (rename bgrant_1_ "bgrant[1]") (direction OUTPUT)) (port (rename bgrant_0_ "bgrant[0]") (direction OUTPUT)) (port bbusy (direction INPUT)) ) (contents (instance U369 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U370 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U371 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U372 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U373 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U374 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U375 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U376 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U377 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U378 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U379 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U380 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U381 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U382 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U383 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U384 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U385 (viewRef Netlist_representation (cellRef oaif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U386 (viewRef Netlist_representation (cellRef oaif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U387 (viewRef Netlist_representation (cellRef blf00601 (libraryRef msu_scmos_0_8)) ) ) (instance U388 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U389 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U390 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U391 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U392 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U393 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U394 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U395 (viewRef Netlist_representation (cellRef blf00001 (libraryRef msu_scmos_0_8)) ) ) (instance U396 (viewRef Netlist_representation (cellRef nanf211 (libraryRef msu_scmos_0_8)) ) ) (instance U397 (viewRef Netlist_representation (cellRef muxf201 (libraryRef msu_scmos_0_8)) ) ) (instance U398 (viewRef Netlist_representation (cellRef muxf201 (libraryRef msu_scmos_0_8)) ) ) (instance U399 (viewRef Netlist_representation (cellRef muxf201 (libraryRef msu_scmos_0_8)) ) ) (instance U400 (viewRef Netlist_representation (cellRef oaif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U401 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U402 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U403 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U404 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U405 (viewRef Netlist_representation (cellRef norf301 (libraryRef msu_scmos_0_8)) ) ) (instance U406 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U407 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U408 (viewRef Netlist_representation (cellRef norf301 (libraryRef msu_scmos_0_8)) ) ) (instance U409 (viewRef Netlist_representation (cellRef norf301 (libraryRef msu_scmos_0_8)) ) ) (instance U410 (viewRef Netlist_representation (cellRef orf401 (libraryRef msu_scmos_0_8)) ) ) (instance U411 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U412 (viewRef Netlist_representation (cellRef norf251 (libraryRef msu_scmos_0_8)) ) ) (instance U413 (viewRef Netlist_representation (cellRef nanf401 (libraryRef msu_scmos_0_8)) ) ) (instance U414 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U415 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U416 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U417 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U418 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U419 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U420 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U421 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U422 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U423 (viewRef Netlist_representation (cellRef blf00001 (libraryRef msu_scmos_0_8)) ) ) (instance U424 (viewRef Netlist_representation (cellRef norf301 (libraryRef msu_scmos_0_8)) ) ) (instance U425 (viewRef Netlist_representation (cellRef norf251 (libraryRef msu_scmos_0_8)) ) ) (instance U426 (viewRef Netlist_representation (cellRef blf00001 (libraryRef msu_scmos_0_8)) ) ) (instance U427 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U428 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U429 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U430 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U431 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U432 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U433 (viewRef Netlist_representation (cellRef norf251 (libraryRef msu_scmos_0_8)) ) ) (instance U434 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U435 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U436 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U437 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U438 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U439 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U440 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U441 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U442 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U443 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U444 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U445 (viewRef Netlist_representation (cellRef norf211 (libraryRef msu_scmos_0_8)) ) ) (instance U446 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U447 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U448 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U449 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U450 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U451 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U452 (viewRef Netlist_representation (cellRef aof3201 (libraryRef msu_scmos_0_8)) ) ) (instance U453 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U454 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U455 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U456 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U457 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U458 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U459 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U460 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U461 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U462 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U463 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U464 (viewRef Netlist_representation (cellRef blf00201 (libraryRef msu_scmos_0_8)) ) ) (instance U465 (viewRef Netlist_representation (cellRef blf00001 (libraryRef msu_scmos_0_8)) ) ) (instance U466 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U467 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U468 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U469 (viewRef Netlist_representation (cellRef muxf201 (libraryRef msu_scmos_0_8)) ) ) (instance U470 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U471 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U472 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U473 (viewRef Netlist_representation (cellRef aoif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U474 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U475 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U476 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U477 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U478 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U479 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U480 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U481 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U482 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U483 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U484 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U485 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U486 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U487 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U488 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U489 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U490 (viewRef Netlist_representation (cellRef nanf251 (libraryRef msu_scmos_0_8)) ) ) (instance U491 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U492 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U493 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U494 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U495 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U496 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U497 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U498 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U499 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U500 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U501 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U502 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U503 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U504 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U505 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U506 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U507 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U508 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U509 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U510 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U511 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U512 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U513 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U514 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U515 (viewRef Netlist_representation (cellRef aof4201 (libraryRef msu_scmos_0_8)) ) ) (instance U516 (viewRef Netlist_representation (cellRef nanf201 (libraryRef msu_scmos_0_8)) ) ) (instance U517 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U518 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U519 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U520 (viewRef Netlist_representation (cellRef blf00601 (libraryRef msu_scmos_0_8)) ) ) (instance U521 (viewRef Netlist_representation (cellRef oaif2201 (libraryRef msu_scmos_0_8)) ) ) (instance U522 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U523 (viewRef Netlist_representation (cellRef norf201 (libraryRef msu_scmos_0_8)) ) ) (instance U524 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U525 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U526 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U527 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U528 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U529 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U530 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U531 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U532 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U533 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U534 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U535 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U536 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U537 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U538 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U539 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U540 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U541 (viewRef Netlist_representation (cellRef nanf301 (libraryRef msu_scmos_0_8)) ) ) (instance U542 (viewRef Netlist_representation (cellRef blf00101 (libraryRef msu_scmos_0_8)) ) ) (instance U543 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U544 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U545 (viewRef Netlist_representation (cellRef aof2201 (libraryRef msu_scmos_0_8)) ) ) (instance U546 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U547 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U548 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U549 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U550 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance U551 (viewRef Netlist_representation (cellRef invf101 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pstate_reg_0_ "pstate_reg[0]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pstate_reg_1_ "pstate_reg[1]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pstate_reg_2_ "pstate_reg[2]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pending_reg_2_ "pending_reg[2]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pending_reg_1_ "pending_reg[1]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename pending_reg_0_ "pending_reg[0]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename current_reg_2_ "current_reg[2]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename current_reg_1_ "current_reg[1]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename current_reg_0_ "current_reg[0]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename startp_reg_2_ "startp_reg[2]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename startp_reg_1_ "startp_reg[1]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename startp_reg_0_ "startp_reg[0]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_3_ "bgrant_int_reg[3]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_7_ "bgrant_int_reg[7]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_5_ "bgrant_int_reg[5]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_1_ "bgrant_int_reg[1]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_0_ "bgrant_int_reg[0]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_4_ "bgrant_int_reg[4]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_6_ "bgrant_int_reg[6]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (instance (rename bgrant_int_reg_2_ "bgrant_int_reg[2]") (viewRef Netlist_representation (cellRef dfrf301 (libraryRef msu_scmos_0_8)) ) ) (net (rename breq_6_ "breq[6]") (joined (portRef breq_6_) (portRef A1 (instanceRef U487))) ) (net (rename pending_0_ "pending[0]") (joined (portRef B1 (instanceRef U382)) (portRef B1 (instanceRef U478)) (portRef Q (instanceRef pending_reg_0_)) ) ) (net (rename breq_2_ "breq[2]") (joined (portRef breq_2_) (portRef A1 (instanceRef U482))) ) (net (rename breq_0_ "breq[0]") (joined (portRef breq_0_) (portRef A1 (instanceRef U489))) ) (net reset (joined (portRef reset) (portRef RST3 (instanceRef startp_reg_2_)) (portRef RST3 (instanceRef startp_reg_0_)) (portRef RST3 (instanceRef pstate_reg_1_)) (portRef RST3 (instanceRef pending_reg_2_)) (portRef RST3 (instanceRef pending_reg_0_)) (portRef RST3 (instanceRef current_reg_1_)) (portRef RST3 (instanceRef bgrant_int_reg_7_)) (portRef RST3 (instanceRef bgrant_int_reg_5_)) (portRef RST3 (instanceRef bgrant_int_reg_3_)) (portRef RST3 (instanceRef bgrant_int_reg_1_)) (portRef RST3 (instanceRef bgrant_int_reg_0_)) (portRef RST3 (instanceRef bgrant_int_reg_2_)) (portRef RST3 (instanceRef bgrant_int_reg_4_)) (portRef RST3 (instanceRef bgrant_int_reg_6_)) (portRef RST3 (instanceRef current_reg_0_)) (portRef RST3 (instanceRef current_reg_2_)) (portRef RST3 (instanceRef pending_reg_1_)) (portRef RST3 (instanceRef pstate_reg_0_)) (portRef RST3 (instanceRef pstate_reg_2_)) (portRef RST3 (instanceRef startp_reg_1_)) ) ) (net (rename startp_0_ "startp[0]") (joined (portRef A1 (instanceRef U391)) (portRef C2 (instanceRef U400)) (portRef A1 (instanceRef U402)) (portRef B1 (instanceRef U405)) (portRef B1 (instanceRef U406)) (portRef D2 (instanceRef U444)) (portRef A1 (instanceRef U468)) (portRef Q (instanceRef startp_reg_0_)) ) ) (net (rename pending_2_ "pending[2]") (joined (portRef B1 (instanceRef U384)) (portRef B1 (instanceRef U476)) (portRef Q (instanceRef pending_reg_2_)) ) ) (net (rename breq_4_ "breq[4]") (joined (portRef breq_4_) (portRef A1 (instanceRef U484))) ) (net clk (joined (portRef clk) (portRef A1 (instanceRef U370)))) (net (rename bgrant_4_ "bgrant[4]") (joined (portRef bgrant_4_) (portRef B2 (instanceRef U484)) (portRef A1 (instanceRef U534)) (portRef Q (instanceRef bgrant_int_reg_4_)) ) ) (net (rename bgrant_0_ "bgrant[0]") (joined (portRef bgrant_0_) (portRef B2 (instanceRef U489)) (portRef A1 (instanceRef U542)) (portRef Q (instanceRef bgrant_int_reg_0_)) ) ) (net (rename startp_2_ "startp[2]") (joined (portRef A1 (instanceRef U401)) (portRef C1 (instanceRef U402)) (portRef B1 (instanceRef U409)) (portRef A1 (instanceRef U469)) (portRef Q (instanceRef startp_reg_2_)) ) ) (net (rename pstate_1_ "pstate[1]") (joined (portRef B1 (instanceRef U389)) (portRef B1 (instanceRef U393)) (portRef SEL3 (instanceRef U397)) (portRef B1 (instanceRef U416)) (portRef A1 (instanceRef U417)) (portRef A1 (instanceRef U424)) (portRef B1 (instanceRef U431)) (portRef Q (instanceRef pstate_reg_1_)) ) ) (net (rename bgrant_2_ "bgrant[2]") (joined (portRef bgrant_2_) (portRef B2 (instanceRef U482)) (portRef A1 (instanceRef U538)) (portRef Q (instanceRef bgrant_int_reg_2_)) ) ) (net (rename current_1_ "current[1]") (joined (portRef A1 (instanceRef U429)) (portRef C2 (instanceRef U472)) (portRef Q (instanceRef current_reg_1_)) ) ) (net (rename bgrant_6_ "bgrant[6]") (joined (portRef bgrant_6_) (portRef B2 (instanceRef U487)) (portRef A1 (instanceRef U530)) (portRef Q (instanceRef bgrant_int_reg_6_)) ) ) (net bbusy (joined (portRef bbusy) (portRef C2 (instanceRef U386)) (portRef A1 (instanceRef U397)) (portRef A1 (instanceRef U415)) (portRef B1 (instanceRef U419)) (portRef A1 (instanceRef U431)) (portRef A1 (instanceRef U466)) ) ) (net (rename current_0_ "current[0]") (joined (portRef A1 (instanceRef U430)) (portRef C2 (instanceRef U473)) (portRef Q (instanceRef current_reg_0_)) ) ) (net (rename bgrant_7_ "bgrant[7]") (joined (portRef bgrant_7_) (portRef B2 (instanceRef U488)) (portRef A1 (instanceRef U528)) (portRef Q (instanceRef bgrant_int_reg_7_)) ) ) (net (rename pstate_2_ "pstate[2]") (joined (portRef A1 (instanceRef U387)) (portRef B2 (instanceRef U397)) (portRef A1 (instanceRef U418)) (portRef A1 (instanceRef U419)) (portRef B1 (instanceRef U424)) (portRef Q (instanceRef pstate_reg_2_)) ) ) (net (rename bgrant_3_ "bgrant[3]") (joined (portRef bgrant_3_) (portRef B2 (instanceRef U483)) (portRef A1 (instanceRef U536)) (portRef Q (instanceRef bgrant_int_reg_3_)) ) ) (net (rename bgrant_1_ "bgrant[1]") (joined (portRef bgrant_1_) (portRef B2 (instanceRef U485)) (portRef A1 (instanceRef U540)) (portRef Q (instanceRef bgrant_int_reg_1_)) ) ) (net (rename pstate_0_ "pstate[0]") (joined (portRef B1 (instanceRef U387)) (portRef A1 (instanceRef U393)) (portRef A1 (instanceRef U414)) (portRef B1 (instanceRef U417)) (portRef C1 (instanceRef U424)) (portRef B2 (instanceRef U518)) (portRef Q (instanceRef pstate_reg_0_)) ) ) (net (rename bgrant_5_ "bgrant[5]") (joined (portRef bgrant_5_) (portRef B2 (instanceRef U490)) (portRef A1 (instanceRef U532)) (portRef Q (instanceRef bgrant_int_reg_5_)) ) ) (net (rename startp_1_ "startp[1]") (joined (portRef A1 (instanceRef U403)) (portRef A1 (instanceRef U405)) (portRef B1 (instanceRef U407)) (portRef C2 (instanceRef U446)) (portRef B2 (instanceRef U468)) (portRef A1 (instanceRef U470)) (portRef Q (instanceRef startp_reg_1_)) ) ) (net (rename current_2_ "current[2]") (joined (portRef A1 (instanceRef U427)) (portRef C2 (instanceRef U471)) (portRef Q (instanceRef current_reg_2_)) ) ) (net (rename breq_5_ "breq[5]") (joined (portRef breq_5_) (portRef A1 (instanceRef U490))) ) (net (rename breq_1_ "breq[1]") (joined (portRef breq_1_) (portRef A1 (instanceRef U485))) ) (net (rename breq_3_ "breq[3]") (joined (portRef breq_3_) (portRef A1 (instanceRef U483))) ) (net (rename breq_7_ "breq[7]") (joined (portRef breq_7_) (portRef A1 (instanceRef U488))) ) (net (rename pending_1_ "pending[1]") (joined (portRef B1 (instanceRef U383)) (portRef B1 (instanceRef U477)) (portRef Q (instanceRef pending_reg_1_)) ) ) (net n1625 (joined (portRef A1 (instanceRef U369)) (portRef O (instanceRef U537))) ) (net n1626 (joined (portRef B1 (instanceRef U377)) (portRef B1 (instanceRef U376)) (portRef B1 (instanceRef U375)) (portRef B1 (instanceRef U374)) (portRef B1 (instanceRef U373)) (portRef B1 (instanceRef U372)) (portRef B1 (instanceRef U371)) (portRef B1 (instanceRef U369)) (portRef O (instanceRef U388)) ) ) (net n1627 (joined (portRef C1 (instanceRef U369)) (portRef O (instanceRef U538))) ) (net n1628 (joined (portRef A1 (instanceRef U371)) (portRef O (instanceRef U529))) ) (net n1629 (joined (portRef C1 (instanceRef U371)) (portRef O (instanceRef U530))) ) (net n1630 (joined (portRef A1 (instanceRef U372)) (portRef O (instanceRef U533))) ) (net n1631 (joined (portRef C1 (instanceRef U372)) (portRef O (instanceRef U534))) ) (net n1632 (joined (portRef A1 (instanceRef U373)) (portRef O (instanceRef U541))) ) (net n1633 (joined (portRef C1 (instanceRef U373)) (portRef O (instanceRef U542))) ) (net n1634 (joined (portRef A1 (instanceRef U374)) (portRef O (instanceRef U539))) ) (net n1635 (joined (portRef C1 (instanceRef U374)) (portRef O (instanceRef U540))) ) (net n1636 (joined (portRef A1 (instanceRef U375)) (portRef O (instanceRef U531))) ) (net n1637 (joined (portRef C1 (instanceRef U375)) (portRef O (instanceRef U532))) ) (net n1638 (joined (portRef A1 (instanceRef U376)) (portRef O (instanceRef U527))) ) (net n1639 (joined (portRef C1 (instanceRef U376)) (portRef O (instanceRef U528))) ) (net n1640 (joined (portRef A1 (instanceRef U377)) (portRef O (instanceRef U535))) ) (net n1641 (joined (portRef C1 (instanceRef U377)) (portRef O (instanceRef U536))) ) (net n1642 (joined (portRef A1 (instanceRef U492)) (portRef A1 (instanceRef U378)) (portRef O (instanceRef U402)) ) ) (net n1643 (joined (portRef A1 (instanceRef U491)) (portRef B1 (instanceRef U378)) (portRef O (instanceRef U411)) ) ) (net n1644 (joined (portRef C1 (instanceRef U378)) (portRef O (instanceRef U545))) ) (net n1645 (joined (portRef A1 (instanceRef U379)) (portRef O (instanceRef U473))) ) (net n1646 (joined (portRef A1 (instanceRef U436)) (portRef B1 (instanceRef U379)) (portRef O (instanceRef U478)) ) ) (net n1647 (joined (portRef A1 (instanceRef U380)) (portRef O (instanceRef U472))) ) (net n1648 (joined (portRef A1 (instanceRef U438)) (portRef B1 (instanceRef U380)) (portRef O (instanceRef U477)) ) ) (net n1649 (joined (portRef A1 (instanceRef U381)) (portRef O (instanceRef U471))) ) (net n1650 (joined (portRef A1 (instanceRef U441)) (portRef B1 (instanceRef U381)) (portRef O (instanceRef U476)) ) ) (net n1651 (joined (portRef A1 (instanceRef U526)) (portRef B1 (instanceRef U442)) (portRef A1 (instanceRef U433)) (portRef A1 (instanceRef U384)) (portRef A1 (instanceRef U383)) (portRef A1 (instanceRef U382)) (portRef O (instanceRef U432)) ) ) (net n1652 (joined (portRef B1 (instanceRef U473)) (portRef A1 (instanceRef U439)) (portRef A1 (instanceRef U434)) (portRef C2 (instanceRef U382)) (portRef O (instanceRef U544)) ) ) (net n1653 (joined (portRef D2 (instanceRef U384)) (portRef D2 (instanceRef U383)) (portRef D2 (instanceRef U382)) (portRef O (instanceRef U423)) ) ) (net n1654 (joined (portRef B1 (instanceRef U472)) (portRef A1 (instanceRef U437)) (portRef B1 (instanceRef U434)) (portRef C2 (instanceRef U383)) (portRef O (instanceRef U543)) ) ) (net n1655 (joined (portRef B1 (instanceRef U471)) (portRef A1 (instanceRef U443)) (portRef A1 (instanceRef U435)) (portRef C2 (instanceRef U384)) (portRef O (instanceRef U398)) ) ) (net n1656 (joined (portRef A1 (instanceRef U385)) (portRef O (instanceRef U392))) ) (net n1657 (joined (portRef B1 (instanceRef U474)) (portRef B1 (instanceRef U396)) (portRef B1 (instanceRef U385)) (portRef O (instanceRef U418)) ) ) (net n1658 (joined (portRef A1 (instanceRef U447)) (portRef A1 (instanceRef U396)) (portRef C2 (instanceRef U385)) (portRef O (instanceRef U417)) ) ) (net n1659 (joined (portRef D2 (instanceRef U385)) (portRef O (instanceRef U466))) ) (net n1660 (joined (portRef B2 (instanceRef U526)) (portRef B1 (instanceRef U447)) (portRef A1 (instanceRef U423)) (portRef C2 (instanceRef U388)) (portRef A1 (instanceRef U386)) (portRef O (instanceRef U517)) ) ) (net n1661 (joined (portRef C1 (instanceRef U522)) (portRef A1 (instanceRef U467)) (portRef B1 (instanceRef U386)) (portRef O (instanceRef U419)) ) ) (net n1662 (joined (portRef D2 (instanceRef U386)) (portRef O (instanceRef U393))) ) (net n1663 (joined (portRef C2 (instanceRef U387)) (portRef O (instanceRef U447))) ) (net n1664 (joined (portRef D2 (instanceRef U387)) (portRef O (instanceRef U467))) ) (net n1665 (joined (portRef A1 (instanceRef U474)) (portRef A1 (instanceRef U416)) (portRef A1 (instanceRef U389)) (portRef A1 (instanceRef U388)) (portRef O (instanceRef U414)) ) ) (net n1666 (joined (portRef B1 (instanceRef U388)) (portRef O (instanceRef U546))) ) (net n1667 (joined (portRef C2 (instanceRef U426)) (portRef D2 (instanceRef U388)) (portRef O (instanceRef U424)) ) ) (net n1668 (joined (portRef A1 (instanceRef U522)) (portRef B2 (instanceRef U441)) (portRef B2 (instanceRef U438)) (portRef B2 (instanceRef U436)) (portRef C2 (instanceRef U423)) (portRef O (instanceRef U389)) ) ) (net n1669 (joined (portRef B2 (instanceRef U467)) (portRef C2 (instanceRef U465)) (portRef A1 (instanceRef U428)) (portRef B1 (instanceRef U392)) (portRef C2 (instanceRef U389)) (portRef O (instanceRef U415)) ) ) (net n1670 (joined (portRef A1 (instanceRef U479)) (portRef B2 (instanceRef U465)) (portRef A1 (instanceRef U392)) (portRef D2 (instanceRef U389)) (portRef O (instanceRef U416)) ) ) (net n1671 (joined (portRef A1 (instanceRef U545)) (portRef O (instanceRef U390))) ) (net n1672 (joined (portRef A1 (instanceRef U515)) (portRef A1 (instanceRef U514)) (portRef A1 (instanceRef U511)) (portRef A1 (instanceRef U507)) (portRef A1 (instanceRef U504)) (portRef A1 (instanceRef U500)) (portRef A1 (instanceRef U496)) (portRef A1 (instanceRef U486)) (portRef B1 (instanceRef U408)) (portRef A1 (instanceRef U390)) (portRef O (instanceRef U409)) ) ) (net n1673 (joined (portRef A1 (instanceRef U462)) (portRef A1 (instanceRef U460)) (portRef A1 (instanceRef U458)) (portRef A1 (instanceRef U456)) (portRef A1 (instanceRef U454)) (portRef C2 (instanceRef U452)) (portRef A1 (instanceRef U450)) (portRef A1 (instanceRef U448)) (portRef C1 (instanceRef U410)) (portRef B1 (instanceRef U390)) (portRef O (instanceRef U405)) ) ) (net n1674 (joined (portRef B1 (instanceRef U523)) (portRef B1 (instanceRef U444)) (portRef A1 (instanceRef U412)) (portRef B1 (instanceRef U411)) (portRef A1 (instanceRef U409)) (portRef A1 (instanceRef U407)) (portRef A1 (instanceRef U400)) (portRef O (instanceRef U391)) ) ) (net n1675 (joined (portRef B2 (instanceRef U519)) (portRef B1 (instanceRef U420)) (portRef O (instanceRef U394)) ) ) (net n1676 (joined (portRef A1 (instanceRef U394)) (portRef O (instanceRef U454))) ) (net n1677 (joined (portRef B1 (instanceRef U394)) (portRef O (instanceRef U516))) ) (net n1678 (joined (portRef C1 (instanceRef U394)) (portRef O (instanceRef U455))) ) (net n1679 (joined (portRef B2 (instanceRef U421)) (portRef O (instanceRef U395))) ) (net n1680 (joined (portRef A1 (instanceRef U499)) (portRef C1 (instanceRef U413)) (portRef B2 (instanceRef U395)) (portRef O (instanceRef U498)) ) ) (net n1681 (joined (portRef C2 (instanceRef U395)) (portRef O (instanceRef U519))) ) (net n1682 (joined (portRef D2 (instanceRef U520)) (portRef A1 (instanceRef U395)) (portRef O (instanceRef U503)) ) ) (net n1683 (joined (portRef B1 (instanceRef U428)) (portRef B2 (instanceRef U425)) (portRef O2 (instanceRef U396)) ) ) (net n1684 (joined (portRef C2 (instanceRef U518)) (portRef C2 (instanceRef U447)) (portRef O (instanceRef U397)) ) ) (net n1685 (joined (portRef A1 (instanceRef U548)) (portRef B2 (instanceRef U398)) (portRef O (instanceRef U413)) ) ) (net n1686 (joined (portRef A1 (instanceRef U420)) (portRef A1 (instanceRef U398)) (portRef O (instanceRef U548)) ) ) (net n1687 (joined (portRef SEL3 (instanceRef U398)) (portRef O (instanceRef U469)) ) ) (net n1688 (joined (portRef A1 (instanceRef U543)) (portRef A1 (instanceRef U440)) (portRef B1 (instanceRef U439)) (portRef O (instanceRef U399)) ) ) (net n1689 (joined (portRef B2 (instanceRef U399)) (portRef O (instanceRef U550))) ) (net n1690 (joined (portRef A1 (instanceRef U550)) (portRef A1 (instanceRef U399)) (portRef O (instanceRef U523)) ) ) (net n1691 (joined (portRef SEL3 (instanceRef U399)) (portRef O (instanceRef U470)) ) ) (net n1692 (joined (portRef A1 (instanceRef U544)) (portRef B1 (instanceRef U440)) (portRef B1 (instanceRef U437)) (portRef O (instanceRef U400)) ) ) (net n1693 (joined (portRef A1 (instanceRef U551)) (portRef A1 (instanceRef U523)) (portRef C2 (instanceRef U521)) (portRef B1 (instanceRef U400)) (portRef O (instanceRef U421)) ) ) (net n1694 (joined (portRef D2 (instanceRef U400)) (portRef O (instanceRef U551))) ) (net n1695 (joined (portRef C2 (instanceRef U545)) (portRef B2 (instanceRef U469)) (portRef C1 (instanceRef U405)) (portRef B1 (instanceRef U404)) (portRef O (instanceRef U401)) ) ) (net n1696 (joined (portRef A1 (instanceRef U521)) (portRef C2 (instanceRef U470)) (portRef C1 (instanceRef U409)) (portRef A1 (instanceRef U406)) (portRef A1 (instanceRef U404)) (portRef B1 (instanceRef U402)) (portRef O (instanceRef U403)) ) ) (net n1697 (joined (portRef B2 (instanceRef U412)) (portRef A1 (instanceRef U411)) (portRef O (instanceRef U404)) ) ) (net n1698 (joined (portRef G4 (instanceRef U515)) (portRef G4 (instanceRef U514)) (portRef G4 (instanceRef U511)) (portRef G4 (instanceRef U507)) (portRef G4 (instanceRef U504)) (portRef G4 (instanceRef U500)) (portRef G4 (instanceRef U496)) (portRef G4 (instanceRef U486)) (portRef B1 (instanceRef U445)) (portRef C1 (instanceRef U408)) (portRef O (instanceRef U406)) ) ) (net n1699 (joined (portRef E3 (instanceRef U515)) (portRef E3 (instanceRef U514)) (portRef E3 (instanceRef U511)) (portRef E3 (instanceRef U507)) (portRef E3 (instanceRef U504)) (portRef E3 (instanceRef U500)) (portRef E3 (instanceRef U496)) (portRef E3 (instanceRef U486)) (portRef A1 (instanceRef U445)) (portRef A1 (instanceRef U408)) (portRef O (instanceRef U407)) ) ) (net n1700 (joined (portRef C2 (instanceRef U515)) (portRef C2 (instanceRef U514)) (portRef C2 (instanceRef U511)) (portRef C2 (instanceRef U507)) (portRef C2 (instanceRef U504)) (portRef C2 (instanceRef U500)) (portRef C2 (instanceRef U496)) (portRef C2 (instanceRef U486)) (portRef O (instanceRef U408)) ) ) (net n1701 (joined (portRef A1 (instanceRef U481)) (portRef O (instanceRef U410))) ) (net n1702 (joined (portRef A1 (instanceRef U516)) (portRef A1 (instanceRef U512)) (portRef A1 (instanceRef U508)) (portRef A1 (instanceRef U505)) (portRef A1 (instanceRef U501)) (portRef A1 (instanceRef U497)) (portRef A1 (instanceRef U493)) (portRef E3 (instanceRef U452)) (portRef A1 (instanceRef U410)) (portRef O (instanceRef U491)) ) ) (net n1703 (joined (portRef A1 (instanceRef U463)) (portRef A1 (instanceRef U461)) (portRef A1 (instanceRef U459)) (portRef A1 (instanceRef U457)) (portRef A1 (instanceRef U455)) (portRef D2 (instanceRef U453)) (portRef A1 (instanceRef U451)) (portRef A1 (instanceRef U449)) (portRef B1 (instanceRef U410)) (portRef O (instanceRef U492)) ) ) (net n1704 (joined (portRef C2 (instanceRef U463)) (portRef C2 (instanceRef U461)) (portRef C2 (instanceRef U459)) (portRef C2 (instanceRef U457)) (portRef C2 (instanceRef U455)) (portRef B1 (instanceRef U453)) (portRef C2 (instanceRef U451)) (portRef C2 (instanceRef U449)) (portRef D1 (instanceRef U410)) (portRef O (instanceRef U412)) ) ) (net n1705 (joined (portRef A1 (instanceRef U422)) (portRef A1 (instanceRef U421)) (portRef A1 (instanceRef U413)) (portRef O (instanceRef U506)) ) ) (net n1706 (joined (portRef A1 (instanceRef U503)) (portRef B1 (instanceRef U413)) (portRef O (instanceRef U502)) ) ) (net n1707 (joined (portRef A1 (instanceRef U495)) (portRef B1 (instanceRef U422)) (portRef D1 (instanceRef U413)) (portRef O (instanceRef U494)) ) ) (net n1708 (joined (portRef A1 (instanceRef U517)) (portRef B1 (instanceRef U475)) (portRef B1 (instanceRef U466)) (portRef D2 (instanceRef U447)) (portRef B1 (instanceRef U432)) (portRef B2 (instanceRef U426)) (portRef O (instanceRef U420)) ) ) (net n1709 (joined (portRef C1 (instanceRef U420)) (portRef O (instanceRef U464))) ) (net n1710 (joined (portRef C2 (instanceRef U421)) (portRef O (instanceRef U495))) ) (net n1711 (joined (portRef A1 (instanceRef U549)) (portRef B1 (instanceRef U521)) (portRef D2 (instanceRef U470)) (portRef O (instanceRef U422)) ) ) (net n1712 (joined (portRef C1 (instanceRef U422)) (portRef O (instanceRef U520))) ) (net n1713 (joined (portRef B2 (instanceRef U423)) (portRef O (instanceRef U480))) ) (net n1714 (joined (portRef A1 (instanceRef U473)) (portRef A1 (instanceRef U472)) (portRef A1 (instanceRef U471)) (portRef O (instanceRef U425)) ) ) (net n1715 (joined (portRef D2 (instanceRef U473)) (portRef D2 (instanceRef U472)) (portRef D2 (instanceRef U471)) (portRef A1 (instanceRef U425)) (portRef O (instanceRef U426)) ) ) (net n1716 (joined (portRef A1 (instanceRef U480)) (portRef A1 (instanceRef U478)) (portRef A1 (instanceRef U477)) (portRef A1 (instanceRef U476)) (portRef A1 (instanceRef U465)) (portRef A1 (instanceRef U426)) (portRef O (instanceRef U428)) ) ) (net n1717 (joined (portRef C2 (instanceRef U441)) (portRef O (instanceRef U427))) ) (net n1718 (joined (portRef C2 (instanceRef U438)) (portRef O (instanceRef U429))) ) (net n1719 (joined (portRef C2 (instanceRef U436)) (portRef O (instanceRef U430))) ) (net n1720 (joined (portRef A1 (instanceRef U546)) (portRef B1 (instanceRef U522)) (portRef O (instanceRef U431)) ) ) (net n1721 (joined (portRef A1 (instanceRef U432)) (portRef O (instanceRef U522))) ) (net n1722 (joined (portRef B1 (instanceRef U533)) (portRef A1 (instanceRef U531)) (portRef A1 (instanceRef U529)) (portRef C1 (instanceRef U527)) (portRef O (instanceRef U433)) ) ) (net n1723 (joined (portRef A1 (instanceRef U442)) (portRef B2 (instanceRef U433)) (portRef O (instanceRef U441)) ) ) (net n1724 (joined (portRef B2 (instanceRef U536)) (portRef B2 (instanceRef U528)) (portRef O (instanceRef U434)) ) ) (net n1725 (joined (portRef B2 (instanceRef U534)) (portRef B2 (instanceRef U532)) (portRef B2 (instanceRef U530)) (portRef C2 (instanceRef U528)) (portRef O (instanceRef U435)) ) ) (net n1726 (joined (portRef B2 (instanceRef U443)) (portRef B1 (instanceRef U435)) (portRef O (instanceRef U526)) ) ) (net n1727 (joined (portRef B1 (instanceRef U539)) (portRef A1 (instanceRef U535)) (portRef B1 (instanceRef U531)) (portRef A1 (instanceRef U527)) (portRef A1 (instanceRef U525)) (portRef O (instanceRef U436)) ) ) (net n1728 (joined (portRef B2 (instanceRef U538)) (portRef C2 (instanceRef U530)) (portRef O (instanceRef U437)) ) ) (net n1729 (joined (portRef B1 (instanceRef U537)) (portRef B1 (instanceRef U535)) (portRef B1 (instanceRef U529)) (portRef B1 (instanceRef U527)) (portRef A1 (instanceRef U524)) (portRef O (instanceRef U438)) ) ) (net n1730 (joined (portRef B2 (instanceRef U540)) (portRef C2 (instanceRef U532)) (portRef O (instanceRef U439)) ) ) (net n1731 (joined (portRef B2 (instanceRef U542)) (portRef C2 (instanceRef U534)) (portRef O (instanceRef U440)) ) ) (net n1732 (joined (portRef C1 (instanceRef U541)) (portRef C1 (instanceRef U539)) (portRef C1 (instanceRef U537)) (portRef C1 (instanceRef U535)) (portRef O (instanceRef U442)) ) ) (net n1733 (joined (portRef C2 (instanceRef U542)) (portRef C2 (instanceRef U540)) (portRef C2 (instanceRef U538)) (portRef C2 (instanceRef U536)) (portRef O (instanceRef U443)) ) ) (net n1734 (joined (portRef A1 (instanceRef U547)) (portRef D2 (instanceRef U545)) (portRef D2 (instanceRef U446)) (portRef A1 (instanceRef U444)) (portRef O (instanceRef U475)) ) ) (net n1735 (joined (portRef B1 (instanceRef U545)) (portRef B1 (instanceRef U446)) (portRef C2 (instanceRef U444)) (portRef O (instanceRef U547)) ) ) (net n1736 (joined (portRef A1 (instanceRef U446)) (portRef O1 (instanceRef U445))) ) (net n1737 (joined (portRef A1 (instanceRef U509)) (portRef O (instanceRef U448))) ) (net n1738 (joined (portRef B1 (instanceRef U515)) (portRef H4 (instanceRef U514)) (portRef F3 (instanceRef U504)) (portRef B1 (instanceRef U497)) (portRef D2 (instanceRef U486)) (portRef D2 (instanceRef U461)) (portRef B1 (instanceRef U451)) (portRef B1 (instanceRef U448)) (portRef O (instanceRef U485)) ) ) (net n1739 (joined (portRef C2 (instanceRef U462)) (portRef C2 (instanceRef U460)) (portRef C2 (instanceRef U458)) (portRef C2 (instanceRef U456)) (portRef C2 (instanceRef U454)) (portRef A1 (instanceRef U452)) (portRef C2 (instanceRef U450)) (portRef C2 (instanceRef U448)) (portRef O (instanceRef U481)) ) ) (net n1740 (joined (portRef D2 (instanceRef U448)) (portRef O (instanceRef U507))) ) (net n1741 (joined (portRef C1 (instanceRef U509)) (portRef O (instanceRef U449))) ) (net n1742 (joined (portRef B1 (instanceRef U514)) (portRef B1 (instanceRef U512)) (portRef H4 (instanceRef U504)) (portRef D2 (instanceRef U500)) (portRef F3 (instanceRef U486)) (portRef D2 (instanceRef U459)) (portRef B1 (instanceRef U454)) (portRef B1 (instanceRef U449)) (portRef O (instanceRef U482)) ) ) (net n1743 (joined (portRef B1 (instanceRef U516)) (portRef D2 (instanceRef U511)) (portRef H4 (instanceRef U500)) (portRef F3 (instanceRef U496)) (portRef B1 (instanceRef U486)) (portRef B1 (instanceRef U462)) (portRef C2 (instanceRef U453)) (portRef D2 (instanceRef U449)) (portRef O (instanceRef U484)) ) ) (net n1744 (joined (portRef A1 (instanceRef U513)) (portRef O (instanceRef U450))) ) (net n1745 (joined (portRef H4 (instanceRef U515)) (portRef F3 (instanceRef U514)) (portRef B1 (instanceRef U507)) (portRef D2 (instanceRef U504)) (portRef B1 (instanceRef U501)) (portRef B1 (instanceRef U459)) (portRef D2 (instanceRef U457)) (portRef B1 (instanceRef U450)) (portRef O (instanceRef U489)) ) ) (net n1746 (joined (portRef D2 (instanceRef U450)) (portRef O (instanceRef U511))) ) (net n1747 (joined (portRef C1 (instanceRef U513)) (portRef O (instanceRef U451))) ) (net n1748 (joined (portRef B1 (instanceRef U508)) (portRef B1 (instanceRef U504)) (portRef F3 (instanceRef U500)) (portRef D2 (instanceRef U496)) (portRef H4 (instanceRef U486)) (portRef B1 (instanceRef U455)) (portRef D2 (instanceRef U452)) (portRef D2 (instanceRef U451)) (portRef O (instanceRef U483)) ) ) (net n1749 (joined (portRef A1 (instanceRef U464)) (portRef O (instanceRef U452))) ) (net n1750 (joined (portRef B1 (instanceRef U452)) (portRef O (instanceRef U514))) ) (net n1751 (joined (portRef F3 (instanceRef U511)) (portRef D2 (instanceRef U507)) (portRef B1 (instanceRef U500)) (portRef H4 (instanceRef U496)) (portRef B1 (instanceRef U463)) (portRef B1 (instanceRef U456)) (portRef D2 (instanceRef U455)) (portRef F3 (instanceRef U452)) (portRef O (instanceRef U490)) ) ) (net n1752 (joined (portRef B1 (instanceRef U464)) (portRef O (instanceRef U453))) ) (net n1753 (joined (portRef D2 (instanceRef U515)) (portRef H4 (instanceRef U511)) (portRef F3 (instanceRef U507)) (portRef B1 (instanceRef U505)) (portRef B1 (instanceRef U496)) (portRef B1 (instanceRef U460)) (portRef B1 (instanceRef U457)) (portRef A1 (instanceRef U453)) (portRef O (instanceRef U487)) ) ) (net n1754 (joined (portRef D2 (instanceRef U454)) (portRef O (instanceRef U515))) ) (net n1755 (joined (portRef A1 (instanceRef U494)) (portRef O (instanceRef U456))) ) (net n1756 (joined (portRef D2 (instanceRef U456)) (portRef O (instanceRef U486))) ) (net n1757 (joined (portRef C1 (instanceRef U494)) (portRef O (instanceRef U457))) ) (net n1758 (joined (portRef A1 (instanceRef U498)) (portRef O (instanceRef U458))) ) (net n1759 (joined (portRef F3 (instanceRef U515)) (portRef D2 (instanceRef U514)) (portRef B1 (instanceRef U511)) (portRef H4 (instanceRef U507)) (portRef B1 (instanceRef U493)) (portRef D2 (instanceRef U463)) (portRef B1 (instanceRef U461)) (portRef B1 (instanceRef U458)) (portRef O (instanceRef U488)) ) ) (net n1760 (joined (portRef D2 (instanceRef U458)) (portRef O (instanceRef U496))) ) (net n1761 (joined (portRef C1 (instanceRef U498)) (portRef O (instanceRef U459))) ) (net n1762 (joined (portRef A1 (instanceRef U502)) (portRef O (instanceRef U460))) ) (net n1763 (joined (portRef D2 (instanceRef U460)) (portRef O (instanceRef U500))) ) (net n1764 (joined (portRef C1 (instanceRef U502)) (portRef O (instanceRef U461))) ) (net n1765 (joined (portRef A1 (instanceRef U506)) (portRef O (instanceRef U462))) ) (net n1766 (joined (portRef D2 (instanceRef U462)) (portRef O (instanceRef U504))) ) (net n1767 (joined (portRef C1 (instanceRef U506)) (portRef O (instanceRef U463))) ) (net n1768 (joined (portRef A1 (instanceRef U520)) (portRef A1 (instanceRef U519)) (portRef C2 (instanceRef U464)) (portRef O (instanceRef U513)) ) ) (net n1769 (joined (portRef B1 (instanceRef U520)) (portRef A1 (instanceRef U510)) (portRef D2 (instanceRef U464)) (portRef O (instanceRef U509)) ) ) (net n1770 (joined (portRef A1 (instanceRef U518)) (portRef O (instanceRef U465))) ) (net n1771 (joined (portRef C2 (instanceRef U467)) (portRef O (instanceRef U479))) ) (net n1772 (joined (portRef D2 (instanceRef U521)) (portRef O (instanceRef U468))) ) (net n1773 (joined (portRef B1 (instanceRef U470)) (portRef C2 (instanceRef U468)) (portRef O (instanceRef U549)) ) ) (net n1774 (joined (portRef SEL3 (instanceRef U469)) (portRef O (instanceRef U521)) ) ) (net n1775 (joined (portRef C2 (instanceRef U526)) (portRef O (instanceRef U474))) ) (net n1776 (joined (portRef A1 (instanceRef U475)) (portRef O (instanceRef U518))) ) (net n1777 (joined (portRef B1 (instanceRef U494)) (portRef O (instanceRef U493))) ) (net n1778 (joined (portRef B1 (instanceRef U498)) (portRef O (instanceRef U497))) ) (net n1779 (joined (portRef C2 (instanceRef U520)) (portRef O (instanceRef U499))) ) (net n1780 (joined (portRef B1 (instanceRef U502)) (portRef O (instanceRef U501))) ) (net n1781 (joined (portRef B1 (instanceRef U506)) (portRef O (instanceRef U505))) ) (net n1782 (joined (portRef B1 (instanceRef U509)) (portRef O (instanceRef U508))) ) (net n1783 (joined (portRef C2 (instanceRef U519)) (portRef O (instanceRef U510))) ) (net n1784 (joined (portRef B1 (instanceRef U513)) (portRef O (instanceRef U512))) ) (net n1785 (joined (portRef A1 (instanceRef U541)) (portRef A1 (instanceRef U539)) (portRef C1 (instanceRef U533)) (portRef C1 (instanceRef U531)) (portRef O (instanceRef U524)) ) ) (net n1786 (joined (portRef B1 (instanceRef U541)) (portRef A1 (instanceRef U537)) (portRef A1 (instanceRef U533)) (portRef C1 (instanceRef U529)) (portRef O (instanceRef U525)) ) ) (net n1787 (joined (portRef DATA1 (instanceRef pstate_reg_0_)) (portRef O (instanceRef U387)) ) ) (net n1788 (joined (portRef DATA1 (instanceRef pstate_reg_1_)) (portRef O (instanceRef U386)) ) ) (net n1789 (joined (portRef DATA1 (instanceRef pstate_reg_2_)) (portRef O (instanceRef U385)) ) ) (net n1790 (joined (portRef DATA1 (instanceRef pending_reg_2_)) (portRef O (instanceRef U384)) ) ) (net n1791 (joined (portRef DATA1 (instanceRef pending_reg_1_)) (portRef O (instanceRef U383)) ) ) (net n1792 (joined (portRef DATA1 (instanceRef pending_reg_0_)) (portRef O (instanceRef U382)) ) ) (net n1793 (joined (portRef DATA1 (instanceRef current_reg_2_)) (portRef O (instanceRef U381)) ) ) (net n1794 (joined (portRef DATA1 (instanceRef current_reg_1_)) (portRef O (instanceRef U380)) ) ) (net n1795 (joined (portRef DATA1 (instanceRef current_reg_0_)) (portRef O (instanceRef U379)) ) ) (net n1796 (joined (portRef CLK2 (instanceRef startp_reg_1_)) (portRef CLK2 (instanceRef pstate_reg_2_)) (portRef CLK2 (instanceRef pstate_reg_0_)) (portRef CLK2 (instanceRef pending_reg_1_)) (portRef CLK2 (instanceRef current_reg_2_)) (portRef CLK2 (instanceRef current_reg_0_)) (portRef CLK2 (instanceRef bgrant_int_reg_6_)) (portRef CLK2 (instanceRef bgrant_int_reg_4_)) (portRef CLK2 (instanceRef bgrant_int_reg_2_)) (portRef CLK2 (instanceRef bgrant_int_reg_0_)) (portRef CLK2 (instanceRef bgrant_int_reg_1_)) (portRef CLK2 (instanceRef bgrant_int_reg_3_)) (portRef CLK2 (instanceRef bgrant_int_reg_5_)) (portRef CLK2 (instanceRef bgrant_int_reg_7_)) (portRef CLK2 (instanceRef current_reg_1_)) (portRef CLK2 (instanceRef pending_reg_0_)) (portRef CLK2 (instanceRef pending_reg_2_)) (portRef CLK2 (instanceRef pstate_reg_1_)) (portRef CLK2 (instanceRef startp_reg_0_)) (portRef CLK2 (instanceRef startp_reg_2_)) (portRef O (instanceRef U370)) ) ) (net n1797 (joined (portRef DATA1 (instanceRef startp_reg_2_)) (portRef O (instanceRef U378)) ) ) (net n1798 (joined (portRef DATA1 (instanceRef startp_reg_1_)) (portRef O (instanceRef U446)) ) ) (net n1799 (joined (portRef DATA1 (instanceRef startp_reg_0_)) (portRef O (instanceRef U444)) ) ) (net n1800 (joined (portRef DATA1 (instanceRef bgrant_int_reg_3_)) (portRef O (instanceRef U377)) ) ) (net n1801 (joined (portRef DATA1 (instanceRef bgrant_int_reg_7_)) (portRef O (instanceRef U376)) ) ) (net n1802 (joined (portRef DATA1 (instanceRef bgrant_int_reg_5_)) (portRef O (instanceRef U375)) ) ) (net n1803 (joined (portRef DATA1 (instanceRef bgrant_int_reg_1_)) (portRef O (instanceRef U374)) ) ) (net n1804 (joined (portRef DATA1 (instanceRef bgrant_int_reg_0_)) (portRef O (instanceRef U373)) ) ) (net n1805 (joined (portRef DATA1 (instanceRef bgrant_int_reg_4_)) (portRef O (instanceRef U372)) ) ) (net n1806 (joined (portRef DATA1 (instanceRef bgrant_int_reg_6_)) (portRef O (instanceRef U371)) ) ) (net n1807 (joined (portRef DATA1 (instanceRef bgrant_int_reg_2_)) (portRef O (instanceRef U369)) ) ) ) ) ) ) (design Synopsys_edif (cellRef arbiter (libraryRef DESIGNS))) )