-- Model Name : ALU Operations -- Author : Zainalabedin Navabi -- Last Updated : 09 / 15 / 1996 -- This document is © copyrighted by the Author.
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
--
PACKAGE alu_operations IS
    CONSTANT a_and_b : std_logic_vector (2 DOWNTO 0) := "000";
    CONSTANT b_compl : std_logic_vector (2 DOWNTO 0) := "001";
    CONSTANT a_input : std_logic_vector (2 DOWNTO 0) := "100";
    CONSTANT a_add_b : std_logic_vector (2 DOWNTO 0) := "101";
    CONSTANT b_input : std_logic_vector (2 DOWNTO 0) := "110";
    CONSTANT a_sub_b : std_logic_vector (2 DOWNTO 0) := "111";
END alu_operations;