-------------------------------------------------------------------------------- -- -- AMD2910 Benchmark -- reg component -- -- Source: AMD data book -- -- author: Indraneel Ghosh -- University Of California, Irvine, CA 92717 -- -- Written on Feb19, 1992 -- -------------------------------------------------------------------------------- THIS DIRECTORY CONTAINS THE FOLLOWING FILES : reg.vhdl : File containing the funct_block_alg_beh "reg_ctr" in "funct_block_alg_beh2910.vhdl". test_vectors_reg.vhdl : Simulation test vector file for "reg_ctr" test_vectors_reg.doc : Documentation on test vectors in "test_vectors_reg.vhdl" cmd_reg.inc : Simulation command file for "reg_ctr" NOTE : To run simulation on Zycad ( Version 1.0) Simulator : Run the following commands in the order given below. zvan types.vhd zvan MVL7_functions.vhd zvan reg.vhdl zvan test_vectors_reg.vhdl zvsim -t ns -i cmd_reg.inc E As in other cases, the simulation results will be stored in file "run.out"