TTLÀ»ÀÌ¿ëÇÑ 16ºñÆ® Ä«¿îÅ͸¦ PLD·Î ÇÒ ¼ö´Â ¾øÀ»±î¿ä?

±¹ÀÏÈ£


>#1545 ÀÎÁöÇý (XmasKid )
>[Áú¹®]16bit counter + latch=? 01/22 15:15 8 line
>
>16bit counter(74ls191*4°³) ¿Í latch(74ls373*2°³)¸¦
>ÇϳªÀÇ PLD·Î ±¸¿ï ¼ö ÀÖÀ»±î ¿ä?
>±×·¸°Ô µÇ¸é º¹Àâ ÇÑ º¸µå °¡ ÈξÀ ½±°Ô µÉ°Å °°Àºµ¥...
>

PLD·Î ¸¸µå´Â ÀÌÀ¯ÁßÀÇ Çϳª°¡ ¹Ù·Î ÀÌ¿Í °°ÀÌ È¸·ÎÀÇ ÁýÀûÈ­ ÀÌÁö¿ä

>PLD±Á´Â°Ç FPGA(MACH°°Àº°Í)°ú ºñ½ÁÇÏ°Ô...
>programmer¸¦ ±¸ÀÔÇØ¾ß Çϴ°ǰ¡¿ä?
>
>±×·³....´ä.º¯ ºÎŹµå ¸³´Ï´Ù...

ISP Device¸¦ ¾²¸é Down Load CableÀÀ ÀÌ¿ëÇؼ­ °£´ÜÇÏ°Ô PLD¸¦ Á¦ÀÛÇÒ¼ö ÀÖ½À´Ï´Ù. Áú¹®ÇϽŠ³»¿ëÀ» VHDL·Î °£´ÜÇÏ°Ô ¸¸µé¾î º¸¸é...

PeakVHDL/FPGAÅø·Î Vantis(MACH)¸¦ ¼±ÅÃÇؼ­ ÇÕ¼ºÇÏ¿´´õ´Ï....

 

À̶ó°í ³ª¿Ô³×¿ä. »ó´çÈ÷ Á¤È®ÇÏÁÒ? ÇÕ¼ºÈÄ ¸®Æ÷Æ® È­ÀÏ (metammor.log)Àº ´ÙÀ½°ú °°½À´Ï´Ù.

 


back

 mailto:goodkook
CSA & VLSI Design Lab. Kyunghee Univ