Depth = 512; Width = 8; Address_radix = oct; Data_radix = bin; % Character Generator ROM Data % Content Begin 000 : 00111100 ; % **** % 001 : 01100110 ; % ** ** % 002 : 01101110 ; % ** *** % 003 : 01101110 ; % ** *** % 004 : 01100000 ; % ** % 005 : 01100010 ; % ** * % 006 : 00111100 ; % **** % 007 : 00000000 ; % % 010 : 00011000 ; % ** % 011 : 00111100 ; % **** % 012 : 01100110 ; % ** ** % 013 : 01111110 ; % ****** % 014 : 01100110 ; % ** ** % 015 : 01100110 ; % ** ** % 016 : 01100110 ; % ** ** % 017 : 00000000 ; % % 020 : 01111100 ; % ***** % 021 : 01100110 ; % ** ** % 022 : 01100110 ; % ** ** % 023 : 01111100 ; % ***** % 024 : 01100110 ; % ** ** % 025 : 01100110 ; % ** ** % 026 : 01111100 ; % ***** % 027 : 00000000 ; % % 030 : 00111100 ; % **** % 031 : 01100110 ; % ** ** % 032 : 01100000 ; % ** % 033 : 01100000 ; % ** % 034 : 01100000 ; % ** % 035 : 01100110 ; % ** ** % 036 : 00111100 ; % **** % 037 : 00000000 ; % % 040 : 01111000 ; % **** % 041 : 01101100 ; % ** ** % 042 : 01100110 ; % ** ** % 043 : 01100110 ; % ** ** % 044 : 01100110 ; % ** ** % 045 : 01101100 ; % ** ** % 046 : 01111000 ; % **** % 047 : 00000000 ; % % 050 : 01111110 ; % ****** % 051 : 01100000 ; % ** % 052 : 01100000 ; % ** % 053 : 01111000 ; % **** % 054 : 01100000 ; % ** % 055 : 01100000 ; % ** % 056 : 01111110 ; % ****** % 057 : 00000000 ; % % 060 : 01111110 ; % ****** % 061 : 01100000 ; % ** % 062 : 01100000 ; % ** % 063 : 01111000 ; % **** % 064 : 01100000 ; % ** % 065 : 01100000 ; % ** % 066 : 01100000 ; % ** % 067 : 00000000 ; % % 070 : 00111100 ; % **** % 071 : 01100110 ; % ** ** % 072 : 01100000 ; % ** % 073 : 01101110 ; % ** *** % 074 : 01100110 ; % ** ** % 075 : 01100110 ; % ** ** % 076 : 00111100 ; % **** % 077 : 00000000 ; % % 100 : 01100110 ; % ** ** % 101 : 01100110 ; % ** ** % 102 : 01100110 ; % ** ** % 103 : 01111110 ; % ****** % 104 : 01100110 ; % ** ** % 105 : 01100110 ; % ** ** % 106 : 01100110 ; % ** ** % 107 : 00000000 ; % % 110 : 00111100 ; % **** % 111 : 00011000 ; % ** % 112 : 00011000 ; % ** % 113 : 00011000 ; % ** % 114 : 00011000 ; % ** % 115 : 00011000 ; % ** % 116 : 00111100 ; % **** % 117 : 00000000 ; % % 120 : 00011110 ; % **** % 121 : 00001100 ; % ** % 122 : 00001100 ; % ** % 123 : 00001100 ; % ** % 124 : 00001100 ; % ** % 125 : 01101100 ; % ** ** % 126 : 00111000 ; % *** % 127 : 00000000 ; % % 130 : 01100110 ; % ** ** % 131 : 01101100 ; % ** ** % 132 : 01111000 ; % **** % 133 : 01110000 ; % *** % 134 : 01111000 ; % **** % 135 : 01101100 ; % ** ** % 136 : 01100110 ; % ** ** % 137 : 00000000 ; % % 140 : 01100000 ; % ** % 141 : 01100000 ; % ** % 142 : 01100000 ; % ** % 143 : 01100000 ; % ** % 144 : 01100000 ; % ** % 145 : 01100000 ; % ** % 146 : 01111110 ; % ****** % 147 : 00000000 ; % % 150 : 01100011 ; % ** ** % 151 : 01110111 ; % *** *** % 152 : 01111111 ; % ******* % 153 : 01101011 ; % ** * ** % 154 : 01100011 ; % ** ** % 155 : 01100011 ; % ** ** % 156 : 01100011 ; % ** ** % 157 : 00000000 ; % % 160 : 01100110 ; % ** ** % 161 : 01110110 ; % *** ** % 162 : 01111110 ; % ****** % 163 : 01111110 ; % ****** % 164 : 01101110 ; % ** *** % 165 : 01100110 ; % ** ** % 166 : 01100110 ; % ** ** % 167 : 00000000 ; % % 170 : 00111100 ; % **** % 171 : 01100110 ; % ** ** % 172 : 01100110 ; % ** ** % 173 : 01100110 ; % ** ** % 174 : 01100110 ; % ** ** % 175 : 01100110 ; % ** ** % 176 : 00111100 ; % **** % 177 : 00000000 ; % % 200 : 01111100 ; % ***** % 201 : 01100110 ; % ** ** % 202 : 01100110 ; % ** ** % 203 : 01111100 ; % ***** % 204 : 01100000 ; % ** % 205 : 01100000 ; % ** % 206 : 01100000 ; % ** % 207 : 00000000 ; % % 210 : 00111100 ; % **** % 211 : 01100110 ; % ** ** % 212 : 01100110 ; % ** ** % 213 : 01100110 ; % ** ** % 214 : 01100110 ; % ** ** % 215 : 00111100 ; % **** % 216 : 00001110 ; % *** % 217 : 00000000 ; % % 220 : 01111100 ; % ***** % 221 : 01100110 ; % ** ** % 222 : 01100110 ; % ** ** % 223 : 01111100 ; % ***** % 224 : 01111000 ; % **** % 225 : 01101100 ; % ** ** % 226 : 01100110 ; % ** ** % 227 : 00000000 ; % % 230 : 00111100 ; % **** % 231 : 01100110 ; % ** ** % 232 : 01100000 ; % ** % 233 : 00111100 ; % **** % 234 : 00000110 ; % ** % 235 : 01100110 ; % ** ** % 236 : 00111100 ; % **** % 237 : 00000000 ; % % 240 : 01111110 ; % ****** % 241 : 00011000 ; % ** % 242 : 00011000 ; % ** % 243 : 00011000 ; % ** % 244 : 00011000 ; % ** % 245 : 00011000 ; % ** % 246 : 00011000 ; % ** % 247 : 00000000 ; % % 250 : 01100110 ; % ** ** % 251 : 01100110 ; % ** ** % 252 : 01100110 ; % ** ** % 253 : 01100110 ; % ** ** % 254 : 01100110 ; % ** ** % 255 : 01100110 ; % ** ** % 256 : 00111100 ; % **** % 257 : 00000000 ; % % 260 : 01100110 ; % ** ** % 261 : 01100110 ; % ** ** % 262 : 01100110 ; % ** ** % 263 : 01100110 ; % ** ** % 264 : 01100110 ; % ** ** % 265 : 00111100 ; % **** % 266 : 00011000 ; % ** % 267 : 00000000 ; % % 270 : 01100011 ; % ** ** % 271 : 01100011 ; % ** ** % 272 : 01100011 ; % ** ** % 273 : 01101011 ; % ** * ** % 274 : 01111111 ; % ******* % 275 : 01110111 ; % *** *** % 276 : 01100011 ; % ** ** % 277 : 00000000 ; % % 300 : 01100110 ; % ** ** % 301 : 01100110 ; % ** ** % 302 : 00111100 ; % **** % 303 : 00011000 ; % ** % 304 : 00111100 ; % **** % 305 : 01100110 ; % ** ** % 306 : 01100110 ; % ** ** % 307 : 00000000 ; % % 310 : 01100110 ; % ** ** % 311 : 01100110 ; % ** ** % 312 : 01100110 ; % ** ** % 313 : 00111100 ; % **** % 314 : 00011000 ; % ** % 315 : 00011000 ; % ** % 316 : 00011000 ; % ** % 317 : 00000000 ; % % 320 : 01111110 ; % ****** % 321 : 00000110 ; % ** % 322 : 00001100 ; % ** % 323 : 00011000 ; % ** % 324 : 00110000 ; % ** % 325 : 01100000 ; % ** % 326 : 01111110 ; % ****** % 327 : 00000000 ; % % 330 : 00111100 ; % **** % 331 : 00110000 ; % ** % 332 : 00110000 ; % ** % 333 : 00110000 ; % ** % 334 : 00110000 ; % ** % 335 : 00110000 ; % ** % 336 : 00111100 ; % **** % 337 : 00000000 ; % % 340 : 00011000 ; % ** % 341 : 00011000 ; % ** % 342 : 00011000 ; % ** % 343 : 00011000 ; % ** % 344 : 01111110 ; % ****** % 345 : 00111100 ; % **** % 346 : 00011000 ; % ** % 347 : 00000000 ; % % 350 : 00111100 ; % **** % 351 : 00001100 ; % ** % 352 : 00001100 ; % ** % 353 : 00001100 ; % ** % 354 : 00001100 ; % ** % 355 : 00001100 ; % ** % 356 : 00111100 ; % **** % 357 : 00000000 ; % % 360 : 00000000 ; % % 361 : 00011000 ; % ** % 362 : 00111100 ; % **** % 363 : 01111110 ; % ****** % 364 : 00011000 ; % ** % 365 : 00011000 ; % ** % 366 : 00011000 ; % ** % 367 : 00011000 ; % ** % 370 : 00000000 ; % % 371 : 00010000 ; % * % 372 : 00110000 ; % ** % 373 : 01111111 ; % ******* % 374 : 01111111 ; % ******* % 375 : 00110000 ; % ** % 376 : 00010000 ; % * % 377 : 00000000 ; % % 400 : 00000000 ; % % 401 : 00000000 ; % % 402 : 00000000 ; % % 403 : 00000000 ; % % 404 : 00000000 ; % % 405 : 00000000 ; % % 406 : 00000000 ; % % 407 : 00000000 ; % % 410 : 00011000 ; % ** % 411 : 00011000 ; % ** % 412 : 00011000 ; % ** % 413 : 00011000 ; % ** % 414 : 00000000 ; % % 415 : 00000000 ; % % 416 : 00011000 ; % ** % 417 : 00000000 ; % % 420 : 01100110 ; % ** ** % 421 : 01100110 ; % ** ** % 422 : 01100110 ; % ** ** % 423 : 00000000 ; % % 424 : 00000000 ; % % 425 : 00000000 ; % % 426 : 00000000 ; % % 427 : 00000000 ; % % 430 : 01100110 ; % ** ** % 431 : 01100110 ; % ** ** % 432 : 11111111 ; % ******** % 433 : 01100110 ; % ** ** % 434 : 11111111 ; % ******** % 435 : 01100110 ; % ** ** % 436 : 01100110 ; % ** ** % 437 : 00000000 ; % % 440 : 00011000 ; % ** % 441 : 00111110 ; % ***** % 442 : 01100000 ; % ** % 443 : 00111100 ; % **** % 444 : 00000110 ; % ** % 445 : 01111100 ; % ***** % 446 : 00011000 ; % ** % 447 : 00000000 ; % % 450 : 01100010 ; % ** * % 451 : 01100110 ; % ** ** % 452 : 00001100 ; % ** % 453 : 00011000 ; % ** % 454 : 00110000 ; % ** % 455 : 01100110 ; % ** ** % 456 : 01000110 ; % * ** % 457 : 00000000 ; % % 460 : 00111100 ; % **** % 461 : 01100110 ; % ** ** % 462 : 00111100 ; % **** % 463 : 00111000 ; % *** % 464 : 01100111 ; % ** *** % 465 : 01100110 ; % ** ** % 466 : 00111111 ; % ****** % 467 : 00000000 ; % % 470 : 00000110 ; % ** % 471 : 00001100 ; % ** % 472 : 00011000 ; % ** % 473 : 00000000 ; % % 474 : 00000000 ; % % 475 : 00000000 ; % % 476 : 00000000 ; % % 477 : 00000000 ; % % 500 : 00001100 ; % ** % 501 : 00011000 ; % ** % 502 : 00110000 ; % ** % 503 : 00110000 ; % ** % 504 : 00110000 ; % ** % 505 : 00011000 ; % ** % 506 : 00001100 ; % ** % 507 : 00000000 ; % % 510 : 00110000 ; % ** % 511 : 00011000 ; % ** % 512 : 00001100 ; % ** % 513 : 00001100 ; % ** % 514 : 00001100 ; % ** % 515 : 00011000 ; % ** % 516 : 00110000 ; % ** % 517 : 00000000 ; % % 520 : 00000000 ; % % 521 : 01100110 ; % ** ** % 522 : 00111100 ; % **** % 523 : 11111111 ; % ******** % 524 : 00111100 ; % **** % 525 : 01100110 ; % ** ** % 526 : 00000000 ; % % 527 : 00000000 ; % % 530 : 00000000 ; % % 531 : 00011000 ; % ** % 532 : 00011000 ; % ** % 533 : 01111110 ; % ****** % 534 : 00011000 ; % ** % 535 : 00011000 ; % ** % 536 : 00000000 ; % % 537 : 00000000 ; % % 540 : 00000000 ; % % 541 : 00000000 ; % % 542 : 00000000 ; % % 543 : 00000000 ; % % 544 : 00000000 ; % % 545 : 00011000 ; % ** % 546 : 00011000 ; % ** % 547 : 00110000 ; % ** % 550 : 00000000 ; % % 551 : 00000000 ; % % 552 : 00000000 ; % % 553 : 01111110 ; % ****** % 554 : 00000000 ; % % 555 : 00000000 ; % % 556 : 00000000 ; % % 557 : 00000000 ; % % 560 : 00000000 ; % % 561 : 00000000 ; % % 562 : 00000000 ; % % 563 : 00000000 ; % % 564 : 00000000 ; % % 565 : 00011000 ; % ** % 566 : 00011000 ; % ** % 567 : 00000000 ; % % 570 : 00000000 ; % % 571 : 00000011 ; % ** % 572 : 00000110 ; % ** % 573 : 00001100 ; % ** % 574 : 00011000 ; % ** % 575 : 00110000 ; % ** % 576 : 01100000 ; % ** % 577 : 00000000 ; % % 600 : 00111100 ; % **** % 601 : 01100110 ; % ** ** % 602 : 01101110 ; % ** *** % 603 : 01110110 ; % *** ** % 604 : 01100110 ; % ** ** % 605 : 01100110 ; % ** ** % 606 : 00111100 ; % **** % 607 : 00000000 ; % % 610 : 00011000 ; % ** % 611 : 00011000 ; % ** . % 612 : 00111000 ; % *** % 613 : 00011000 ; % ** % 614 : 00011000 ; % ** % 615 : 00011000 ; % ** % 616 : 01111110 ; % ****** % 617 : 00000000 ; % % 620 : 00111100 ; % **** % 621 : 01100110 ; % ** ** % 622 : 00000110 ; % ** % 623 : 00001100 ; % ** % 624 : 00110000 ; % ** % 625 : 01100000 ; % ** % 626 : 01111110 ; % ****** % 627 : 00000000 ; % % 630 : 00111100 ; % **** % 631 : 01100110 ; % ** ** % 632 : 00000110 ; % ** % 633 : 00011100 ; % *** % 634 : 00000110 ; % ** % 635 : 01100110 ; % ** ** % 636 : 00111100 ; % **** % 637 : 00000000 ; % % 640 : 00000110 ; % ** % 641 : 00001110 ; % *** % 642 : 00011110 ; % **** % 643 : 01100110 ; % ** ** % 644 : 01111111 ; % ******* % 645 : 00000110 ; % ** % 646 : 00000110 ; % ** % 647 : 00000000 ; % % 650 : 01111110 ; % ****** % 651 : 01100000 ; % ** % 652 : 01111100 ; % ***** % 653 : 00000110 ; % ** % 654 : 00000110 ; % ** % 655 : 01100110 ; % ** ** % 656 : 00111100 ; % **** % 657 : 00000000 ; % % 660 : 00111100 ; % **** % 661 : 01100110 ; % ** ** % 662 : 01100000 ; % ** % 663 : 01111100 ; % ***** % 664 : 01100110 ; % ** ** % 665 : 01100110 ; % ** ** % 666 : 00111100 ; % **** % 667 : 00000000 ; % % 670 : 01111110 ; % ****** % 671 : 01100110 ; % ** ** % 672 : 00001100 ; % ** % 673 : 00011000 ; % ** % 674 : 00011000 ; % ** % 675 : 00011000 ; % ** % 676 : 00011000 ; % ** % 677 : 00000000 ; % % 700 : 00111100 ; % **** % 701 : 01100110 ; % ** ** % 702 : 01100110 ; % ** ** % 703 : 00111100 ; % **** % 704 : 01100110 ; % ** ** % 705 : 01100110 ; % ** ** % 706 : 00111100 ; % **** % 707 : 00000000 ; % % 710 : 00111100 ; % **** % 711 : 01100110 ; % ** ** % 712 : 01100110 ; % ** ** % 713 : 00111110 ; % ***** % 714 : 00000110 ; % ** % 715 : 01100110 ; % ** ** % 716 : 00111100 ; % **** % 717 : 00000000 ; % % 720 : 00011000 ; % ** % 721 : 00111100 ; % **** % 722 : 01100110 ; % ** ** % 723 : 01111110 ; % ****** % 724 : 01100110 ; % ** ** % 725 : 01100110 ; % ** ** % 726 : 01100110 ; % ** ** % 727 : 00000000 ; % % 730 : 01111100 ; % ***** % 731 : 01100110 ; % ** ** % 732 : 01100110 ; % ** ** % 733 : 01111100 ; % ***** % 734 : 01100110 ; % ** ** % 735 : 01100110 ; % ** ** % 736 : 01111100 ; % ***** % 737 : 00000000 ; % % 740 : 00111100 ; % **** % 741 : 01100110 ; % ** ** % 742 : 01100000 ; % ** % 743 : 01100000 ; % ** % 744 : 01100000 ; % ** % 745 : 01100110 ; % ** ** % 746 : 00111100 ; % **** % 747 : 00000000 ; % % 750 : 01111000 ; % **** % 751 : 01101100 ; % ** ** % 752 : 01100110 ; % ** ** % 753 : 01100110 ; % ** ** % 754 : 01100110 ; % ** ** % 755 : 01101100 ; % ** ** % 756 : 01111000 ; % **** % 757 : 00000000 ; % % 760 : 01111110 ; % ****** % 761 : 01100000 ; % ** % 762 : 01100000 ; % ** % 763 : 01111000 ; % **** % 764 : 01100000 ; % ** % 765 : 01100000 ; % ** % 766 : 01111110 ; % ****** % 767 : 00000000 ; % % 770 : 01111110 ; % ****** % 771 : 01100000 ; % ** % 772 : 01100000 ; % ** % 773 : 01111000 ; % **** % 774 : 01100000 ; % ** % 775 : 01100000 ; % ** % 776 : 01100000 ; % ** % 777 : 00000000 ; % % End;