VHDL

Example of UNSIGNED type



UNSIGNED'("0110") represents +6
UNSIGNED'("1010") represents +10

- PLDWorld -

 

Created by chm2web html help conversion utility.