Glossary

parameter (Verilog HDL)


A parameter is an attribute of a Verilog HDL module that can be altered for each instantiation of the module. These attributes represent constants, and are often used to define variable width and delay value. Parameters are altered in Module Instantiations using Defparam Statements or Module Instance Parameter Value Assignments.

See "Section 3.10: Parameters" in the IEEE Std 1364-1995 IEEE Standard Hardware Description Language Based on the Verilog Hardware Description Language manual for more information.

- PLDWorld -

 

Created by chm2web html help conversion utility.