Glossary

fMAX


The maximum clock frequency that can be achieved without violating internal setup (tSU) and hold (tH) time requirements. The Quartus® II software analyzes and reports "system" fMAX and/or "internal" fMAX. The calculation of system fMAX includes any external delays to and from device pins; the calculation of internal fMAX does not include any of these external delays.

You can specify the default required fMAX for a design in the Clocks page of the Settings dialog box (Assignments menu). You can also specify the required fMAX of individual clock signals in a design by creating clock settings and assigning them to signals in the design, as described in online Help in "Creating Clock Settings" and "Assigning Clock Settings to a Clock Signal," respectively.

The Timing Analyzer calculates the internal fMAX using the following equations:

fMAX = 1/(<register to register delay> - <clock skew delay> + <micro setup delay> + <micro clock to output delay>)

Where clock skew delay is calculated with the following equation:

<clock to destination register delay> - <clock to source register delay>

The Timing Analyzer calculates the system fMAX, which includes external delays, using the following equation:

fMAX = 1/(<register to register delay> - <clock skew delay> + <micro setup delay> + <micro clock to output delay> + <external input or output delay>)


- PLDWorld -

 

Created by chm2web html help conversion utility.